ASM International

Nederlands fabrikant van halfgeleidermachines

ASM, op de Amsterdamse beurs genoteerd als ASMI, is een Nederlandse multinationale leverancier van productiemachines voor de halfgeleiderindustrie. ASM is gespecialiseerd in het ontwerp, productie, verkoop en onderhoud van waferbewerkende machines in de productie van halfgeleiders, zoals chips. Deze machines worden door halfgeleiderfabrikanten gebruikt in hun fabrieken, ook wel 'wafer fabs' genoemd. Technologieën die ASM levert zijn atoomlaagdepositie, epitaxie, chemische-dampdepositie (chemical-vapor deposition, CVD) en diffusie.

ASM International NV
Logo
ASM International
Beurs Euronext: ASM
Oprichting 1968
Oprichter(s) Arthur H. Del Prado
Sleutelfiguren Benjamin Loh (CEO), Paul Verhagen (CFO), Hichem M'Saad (CTO), Pauline van der Meer Mohr (Voorzitter RvC), Arthur H. del Prado (oprichter), Chuck del Prado (ex-CEO, 2008-2020),
Land Vlag van Nederland Nederland
Hoofdkantoor Almere
Werknemers 3.312, waarvan 146 in Nederland (31 december 2021)
Dochter­onderneming ASM Pacific Holding
Producten Productiemachines voor de halfgeleiderindustrie
Omzet/jaar € 1730 miljoen (2021)[1]
Winst/jaar € 495 miljoen (2021)[1]
Markt­kapitalisatie € 35 miljard (juli 2024)
Website www.asm.com
Portaal  Portaalicoon   Economie

ASM wordt beschouwd als een grondlegger van de Europese chipmachine-industrie. De onderneming werd in 1964 door Arthur del Prado (1931-2016) als 'Advanced Semiconductor Materials' opgericht.[2] Van 2008 tot 2020 was Chuck del Prado, zoon van Arthur del Prado, CEO. ASM introduceerde verscheidene baanbrekende waferbewerkende productietechnieken op het gebied van fotolithografie, thinfilmdepositie, ionenimplantatie, epitaxie en in recentere jaren atoomlaagdepositie. Vooraanstaande leveranciers van halfgeleiderproductiemachines ASML, ASM Pacific Technology en BESI komen voort uit ASM.

Het hoofdkantoor van ASM is gevestigd in Almere. De onderneming heeft R&D faciliteiten in Almere, Helsinki, Leuven (nabij IMEC), Phoenix (Arizona), Tama (Japan) en Dongtan (Zuid-Korea). Productie gebeurt voornamelijk in Singapore en Dongtan (Zuid-Korea). Daarnaast heeft ASM wereldwijd verscheidene verkoop en ondersteuningskantoren, waaronder in de Verenigde Staten, Zuid-Korea, China, Taiwan, Japan, Singapore en Israël. Eind 2021 had de onderneming 3312 personeelsleden, gevestigd in 14 landen.

De aandelen van de onderneming zijn genoteerd aan de Euronext Amsterdam. Sinds maart 2020 maakt ASM deel uit van de AEX-index. ASM heeft een minderheidsbelang in ASM Pacific Technology, een onderneming gevestigd in Hongkong en actief in assemblage, verpakkings- en montage-technieken.

Geschiedenis

bewerken

In 1964 richtte Arthur del Prado in Bilthoven ASM op als 'Advanced Semiconductor Materials'.[3] In eerste instantie opereerde de eenmanszaak als een handelskantoor in halfgeleiderfabricagetechnieken. In april 1968 werd de onderneming geregistreerd als besloten vennootschap. ASM begon daarna al snel met de ontwikkeling, productie en verkoop van CVD-machines. In 1974 werd Fico Toolings overgenomen, een fabrikant van halfgeleidermatrijzen gevestigd in Herwen. Een jaar later werd in Hongkong een verkoopkantoor geopend voor de Fico-producten, ASM Asia genaamd en later bekend als ASM Pacific Technology (ASMPT). In Phoenix (Arizona) werd in 1976 ASM America opgericht. De verkoop van horizontale PECVD-ovens versnelde de groei van de onderneming.

ASM was in 1981 de eerste Nederlandse onderneming met een directe beursnotering op de NASDAQ. Na deze beursgang breidde de onderneming snel uit. In 1982 werd ASM Japan opgericht, de eerste 100% Nederlandse dochteronderneming in dat land. Daarnaast investeerde ASM in technieken als fotolithografie, ionenimplantatie, epitaxie en wire bonding.[4] In 1988 deed de onderneming afstand van (haar 50%-belang in) ASML, ASM Ion Implant en bracht een jaar later haar in Hongkong gevestigde activiteiten als ASMPT naar de beurs van Hongkong. De onderneming werd tussen 1991 en 1994 grondig gereorganiseerd.[5] ASM verkocht in 1993 ASM Fico aan Berliner Elektro Holding, later bekend als BESI. ASM richtte zich op ASM Europa's verticale lagedruk-CVD-ovens, ASM America's single-wafer-epitaxie en ASM Japans single-wafer-PECVD-reactoren. De onderneming behield een meerderheidsbelang in ASMPT. Vanaf 1996 is ASM op de Amsterdamse effectenbeurs genoteerd. ASM breidde na de eeuwwisseling verder uit met investeringen in atoomlaagdepositie en de ontwikkeling van producten voor een nieuwe waferstandaard van 300 mm (0,300 m).[6]

In 2007 slaagde de onderneming erin om atoomlaagdepositie van hafniumoxide te introduceren voor volume productie. Tegelijkertijd betwistten hedgefondsen het nut van het meerderheidsbelang in ASMPT.[7] In 2008 werd Arthur de Prado door zijn zoon Chuck del Prado opgevolgd.[8] En een jaar later verhuisde het hoofdkantoor van Bilthoven naar Almere. Na een wereldwijd hervormingsprogramma werd ASM weer na 2010 weer winstgevend. Het programma omvatte de invoering van een product-gedreven organisatie, een enkele wereldwijde verkooporganisatie, centralisatie van productie in Singapore en de oprichting van centrale afdelingen voor personeelszaken, financiën, informatietechnologie en meer aandacht voor arbeidsomstandigheden en milieu. PEALD, voor het helpen afbeelden van steeds kleinere patronen op de wafer, en ALD, voor nieuwe op hafniumoxide gebaseerde transistoren, leidde de groei van de onderneming.[9] Het belang in ASMPT wordt tot 25% teruggebracht.[10]

In 2020 wordt de onderneming in de AEX-index opgenomen. Hetzelfde jaar, na twaalf jaar CEO te zijn geweest, besluit Chuck del Prado om terug te treden.[11] Hij wordt opgevolgd door Benjamin Loh.[12]

Technologie

bewerken

Bij de productie van een halfgeleiderchip worden verschillende typen waferbewerkende apparaten gebruikt. De productie omvat het aanbrengen van fijnmazige patronen op een wafer met fotolithografie, de creatie van uiterst dunne laagjes op de wafer met thinfilmdepositie, het etsen van materiaal, thermische behandelingen en andere stappen. De machines van ASM zijn ontworpen voor de depositie van dunne laagjes materiaal op een siliciumwafer. Het fabricageproces van een chip omvat honderden bewerkingsstappen, waarvan een groot aantal depositiestappen zijn, met gebruik van vele verschillende materialen.

Het doel van chipfabrikanten – ASM's klanten – om snellere, goedkopere, krachtigere en energiezuinigere chips te ontwerpen, bepaalt de technologieontwikkeling bij de machinefabrikant. Om dit doel te bereiken, worden de afmetingen van de schakelaars (transistoren) en dataopslag-elementen (geheugen) almaar verkleind. Hierbij wordt een tweejaarlijkse verdubbeling van het aantal componenten op een chip beoogd (zie de Wet van Moore). Om deze vooruitgang te verwezenlijken, levert ASM zijn klanten depositieapparatuur en -processen waarmee niet alleen steeds dunnere lagen met atomaire precisie gedeponeerd worden, maar ook nieuwe materialen die de chips weer beter maken.

In de afgelopen 15 jaar zijn in toenemende mate nieuwe materialen aan het chipfabricageproces toegevoegd. Deze nieuwe materialen zijn noodzakelijk om de gewenste prestatieverbeteringen (in lijn met de Wet van Moore) te verwezenlijken. Een voorbeeld betreft de vervanging van een siliciumoxide-laag in de transistor waarvan vandaag de dag miljarden in één chip geïntegreerd worden. Omdat bij het almaar verkleinen van transistoren ook het siliciumoxide steeds dunner werd kon het materiaal niet meer voldoen aan de vereisten voor goede elektrische isolatie. Het nieuwe materiaal dat wel weer hieraan kon voldoen bestaat uit hafnium (een metaal) en zuurstof. Voor dit hafniumoxide introduceerde ASM na bijna een decennium van ontwikkeling niet alleen een nieuw chemisch proces, maar bracht het ook atomic-layer deposition), ofwel ALD, tot industriële wasdom. Door de transformatie van transistoren van vlakke tot driedimensionale structuren (FinFET's) hebben, naast nieuwe materialen, verfijndere depositiemethoden zoals ALD de afgelopen tien jaar in het chipfabricageproces aan belang gewonnen. ASM is marktleider in 'single-wafer-ALD'.

Onderzoek

bewerken

ASM levert verschillende methoden en machines om dunne laagjes op een siliciumwafer aan te brengen. De onderneming tracht voortdurend de toepasbaarheid van deze technieken te vergroten. Onderzoek is daarbij van uiterst belang. In 2021 investeerde de onderneming 151 miljoen euro aan R&D, zo'n 10% van de jaaromzet. Dit onderzoek strekt zich uit van fundamenteel onderzoek naar nieuwe materialen tot de toepassing van deze materialen in chipfabricage.

Producten

bewerken

ASM ontwikkelt en verkoopt twee soorten depositiemachines. Dit betreft machines die lagen aanbrengen op één wafer per keer (single-wafer) en machines die dit proces op meerdere wafers (multi-wafer of per batch) tegelijkertijd uitvoeren. De prijs van beide typen machines varieert maar bedraagt over het algemeen meerdere miljoenen euro's per systeem. De producten van ASM kunnen gegroepeerd worden naar depositiemethode:

ALD (atomic-layer deposition) is een proces waarbij op een uiterst gecontroleerde wijze een materiaal atoomlaag voor atoomlaag aangebracht wordt. De laagjes worden aangebracht in een reactiecyclus, bestaande uit het opeenvolgend inbrengen van een precursor, een inert zuiveringsgas, een reactiecomponent en een laatste inert zuiveringsgas.[9] ASM levert single-wafer-ALD-machines voor thermische en plasma-ALD (PEALD)-processen. (De betreffende machines heten Synergis, Pulsar XP en EmerALD XP. Voor PEALD betreft het de Eagle XP8 en de XP8 QCM.)

Epitaxie (epitaxy) is een proces waarbij op gecontroleerde wijze silicium en op silicium-gebaseerde materialen in hun zuivere kristalstructuur op een wafer aangebracht kunnen worden, met atomaire precisie. Het silicium-epitaxieproces kan gebruikt worden om de elektrische eigenschappen van het siliciumoppervlak te veranderen als onderdeel van het maken van transistoren tijdens de fabricage van halfgeleiderchips. (ASM heeft de single-wafer-epitaxiemachines Intrepid en Epsilon.)

CVD (chemical-vapor deposition) is een depositiemethode waarbij materialen worden opgedampt vanuit hun chemische bestanddelen in de gasfase, waarbij temperatuur, gasconcentraties en de druk nauwkeurig gecontroleerd worden. ASM brengt twee typen CVD-machines op de markt, namelijk voor:

  • PECVD (plasma-enhanced CVD) is een depositieproces waarbij het waferoppervlak aan verschillende vluchtige precursoren wordt blootgesteld. Deze precursoren reageren en/of vallen uiteen op het oppervlak van de wafer, met behulp van de energie toegevoerd door een plasma in de reactiekamer, waardoor er een nieuwe laag op de wafer gecreëerd wordt. (ASM levert single-wafer-plasma-enhanced CVD (PECVD)-processen op de Dragon XP8-machine.)
  • LPCVD (low-pressure CVD) is een lage-druk-CVD-proces dat volgens hetzelfde principe werkt als bovenstaand PECVD-proces, maar bij LPCVD wordt de energie om het chemische proces op het waferoppervlak op gang te brengen en te houden toegevoerd door warmte gegenereerd in verticale ovens. ASM biedt deze LPCVD-processen aan op twee verticale ovens (A400 DUO en A412). Dit zijn systemen van het multi-wafertype.

Resultaten

bewerken

In 2008 deden twee hedgefondsen met relatief grote aandelenbelangen in ASM een verzoek om een onderzoek in te stellen naar vermeend wanbeleid hetgeen gereflecteerd werd in de slechte financiële prestaties van het aandeel ASM. Er kwam een rechtszaak maar de hoogste rechter wees het verzoek van deze twee activistische aandeelhouders af. Mede op basis van de kritiek kondigden de raad van bestuur en de raad van commissarissen van ASM in april 2012 een onderzoek aan naar de onderwaardering van het bedrijf. De belangrijkste reden hiervoor was de waarde van de deelneming ASMPT. Deze was hoger dan de totale beurswaarde van ASM, waardoor de Europese activiteiten van ASM een negatieve waarde vertegenwoordigden. In maart 2013 volgde de verkoop van een belang van 12% in ASMPT. Hierdoor kwam het aandelenbelang op 40% en werd ASMPT gedeconsolideerd hetgeen de scherpe daling van de omzet in 2013 verklaart.

ASM verkoopt zijn machines wereldwijd aan halfgeleiderfabrikanten, waarbij de meerderheid van de inkomsten van Aziatische klanten afkomstig is. In 2021 werd 1,41 miljard van de in totaal 1,73 miljard euro door de verkoop van machines gegenereerd.[1] Het overige deel was afkomstig uit onderhoud en de verkoop van reserveonderdelen.

 
ASM: Omzet en Nettoresultaat 2002-2018
Jaar[13] Omzet Nettoresultaat
2002   € 518,8 miljoen   € 32,2 miljoen
2003   € 581,9 miljoen   € 32,1 miljoen
2004   € 754,2 miljoen   € 24,0 miljoen
2005   € 724,7 miljoen   € 40,2 miljoen
2006   € 877,5 miljoen   € 34,3 miljoen
2007   € 955,2 miljoen   € 61,0 miljoen
2008   € 747,4 miljoen   € 18,4 miljoen
2009   € 590,7 miljoen   € 106,6 miljoen
2010   € 1222,9 miljoen   € 110,6 miljoen
2011   € 1634,3 miljoen   € 186,8 miljoen
2012   € 1418,1 miljoen   € 48,5 miljoen
2013   € 452 miljoen   € 106 miljoen
2014   € 546 miljoen   € 141 miljoen
2015   € 670 miljoen   € 157 miljoen
2016   € 598 miljoen   € 135 miljoen
2017   € 737 miljoen   € 453 miljoen[14]
2018   € 818 miljoen   € 157 miljoen
2019   € 1283,9 miljoen[15]   € 329,0 miljoen
2020   € 1328,1 miljoen   € 285,4 miljoen
2021   € 1729,9 miljoen   € 494,7 miljoen
2022   € 2410,9 miljoen   € 389,1 miljoen [16]

Beursnotering

bewerken

De aandelen van ASM worden sinds 1996 op de Amsterdamse Euronext beurs verhandeld. Sinds maart 2020 maakt ASM ook deel van de AEX-index uit. Door de reductie van het belang tot 25% in 2013 maken de resultaten van ASM Pacific Technology (behalve op het niveau van de nettowinst) niet langer deel uit van ASM's gepresenteerde cijfers. Tussen 1981 en 2015 stond ASM ook aan de NASDAQ genoteerd.

  • Er bestaat een organisatie met dezelfde naam ASM, waarbij de afkorting ASM oorspronkelijk stond voor de American Society for Metals. Op de naam na, heeft deze organisatie voor materiaaldeskundigen geen verwantschap met de producent van machines voor halfgeleiders.
bewerken